1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30
| module tb_top; import uvm_pkg::*;
`include "uvm_macros.svh"
import yapp_pkg::*; import hbus_pkg::*; import channel_pkg::*; import clock_and_reset_pkg::*;
`include "router_tb.sv" `include "router_test_lib.sv" initial begin yapp_vif_config::set(null, "uvm_test_top.tb.yapp.tx_agent.*", "vif", hw_top.in0); hbus_vif_config::set(null, "uvm_test_top.tb.hbus.*", "vif", hw_top.hif ); channel_vif_config::set(null, "uvm_test_top.tb.chan0.*", "vif", hw_top.ch0); channel_vif_config::set(null, "uvm_test_top.tb.chan1.*", "vif", hw_top.ch1); channel_vif_config::set(null, "uvm_test_top.tb.chan2.*", "vif", hw_top.ch2); clock_and_reset_vif_config::set(null, "uvm_test_top.tb.clock_and_reset.*", "vif", hw_top.clk_rst_if); run_test(); end
endmodule : tb_top
|